Thursday, December 11, 2014

Semiconductor Manufacturing 2015 Demand

Key new drivers for new semiconductor fabrication tools is advancing FinFETs 20nm to 16nm/14nm with reasonable yield, and the pace of implementation of 3D NAND. 

Ramp up of 3D flash manufacturing tools will really happen only in 2016 due to length of the development cycle.


In lithography, multi-patterning will continue to be used while EUV continue to faces difficulties. More details are below.


Ron
Insightful, timely, and accurate semiconductor consulting.
Semiconductor information and news at - http://www.maltiel-consulting.com/


Fab Tool Biz Faces Challenges In 2015

After a slight downturn in 2013, the semiconductor equipment industry rebounded and experienced a solid upturn in 2014. The recovery was primarily driven by tool spending in the foundry and DRAM [KC]sectors.
Another big and ongoing story continued to unfold in 2014. In late 2013, Applied Materials announced a definitive agreement to acquire Tokyo Electron Ltd. (TEL) for $9.3 billion. The deal was supposed to close in the second half of 2014. But now, the completion of the deal has been pushed out into 2015 amid a host of complicated regulatory issues.
In fact, the fate of the Applied-TEL deal is just one of the many burning issues for the industry in 2015. The other issues are also clear:
• Will IC-equipment vendors see an upturn or a downturn in 2015?
• What are the drivers?
• Will 450mm fabs, EUV [] and 2.5D [KC]/3D IC [KC] stacked die really happen?
• Will the equipment industry continue to see more acquisition activity in 2015?
The industry continues to consolidate and for good reason. At each node, there are simply fewer customers to serve in a maturing market. “More industry consolidation is needed in several areas, notably in process control and in the components/consumables market, where companies like MKS Instruments, Entegris and others participate,” said Patrick Ho, an analyst at Stifel Nicolaus. “So, will we see (acquisition activity) in 2015? There is a greater likelihood that the smaller players may combine with one another, versus any of the large players taking out the small players. My rationale: the big players are either busy, such as Applied and TEL, or content in their current positions for now. Longer term, we still need one more big deal to occur to further consolidate the space.”
Bold predictions
It’s difficult to predict the future, but there are signs that the Applied-TEL deal will get completed in 2015. So far, the deal has been approved by regulatory bodies in some countries, but not in others. “The deal gets done at some point,” Ho said. “Any deal of this size and scope, particularly when you’re dealing with a relatively consolidated customer base, will likely garner more scrutiny and even some pushbacks from customers. But like many other large deals, I believe ultimately it gets done with perhaps a few contingencies added on to the final deal.”
Another lingering issue is the IC-equipment forecast for 2015. There are mixed signals in the market. Economic growth remains sluggish in many countries. The worldwide geopolitical landscape is troubling. And in the electronics market, the two main catalysts for growth—smartphones and tablets—are showing signs of a slowdown.
As a result, the outlook is cloudy for tool vendors. In fact, citing the slowdown in mobile products and other factors, some are already lowering their forecasts for 2015. In its latest forecast, Gartner projected that semiconductor capital spending and the wafer fab equipment (WFE) market would grow by 11.4% and 17.1%, respectively, in 2014.
For 2015, Gartner currently predicts that semiconductor capital spending and WFE will grow by 8.8% and 11.1%, respectively. “At the moment, those numbers will probably come down a bit, as 2015 does not appear as robust as it did three months ago,” said Dean Freeman, an analyst with Gartner. “WFE for 2015 will be in the 5% to 10% range and CapEx will be closer to 5%. Much of this is dependent upon how much Samsung spends in Q4.”
Many other analysts have a similar forecast for 2015. But on the down side, the ATE market faces a possible downturn in 2015.
Drivers—DRAM, finFETs and NAND
Looking beyond the numbers, tool vendors are in the midst of the most challenging period in the industry’s history. Chipmakers are making a major transition from planar structures to various 3D-like architectures, such as 3D NAND, finFETs [KC] and stacked die.
Toolmakers, in turn, must develop new and advanced systems to meet customer requirements. But the cost to develop new tools is soaring out of control. And yet, there are fewer leading-edge customers at each node.
On the other hand, the shift towards new chip architectures are becoming the “inflection points”—or engines for growth–in the equipment industry, said Doug Bettinger, executive vice president and chief financial officer at Lam Research [], at a recent conference. “(The inflection points include) the move towards multi-patterning. That’s an enormous driver of growth,” Bettinger said. “It’s also the move to finFET from planar. It’s planar to 3D NAND, as well as the move to 3D packaging.”
In 2015, the big driver for fab tool orders will likely reside in the foundry segment, where GlobalFoundries [], Samsung and TSMC [] are making a transition from planar transistors at 20nm to finFETs at 16nm/14nm. Intel Corp. []is already ramping up its second-generation finFETs at 14nm.
For the foundry segment alone, WFE is expected to grow 5% to 10% in 2015, according to Stifel Nicolaus’ Ho. But tool orders for the finFET ramps are also somewhat dependent on one major factor—yield. The foundries, including Intel, are struggling with finFET yields. “With finFET, it will be a question of the magnitude of spending related to yields,” Ho said.
For DRAM, WFE is expected to grow 10% to 15% in 2015. And in NAND, WFE is projected to grow 5% to 10%, according to Stifel Nicolaus. In fact, the DRAM [KC] market remains strong. There could be a shortage of 2D NAND capacity in 2015. But with the exception of Samsung, vendors continue to push out their 3D NAND ramps.
“The timing of 3D NAND has been pushed out due to yields and demand, but the NAND flash industry will eventually transition to this technology,” Ho said. “The cost basis for planar NAND is still more attractive, so I believe the players will try and take advantage of this for two more nodes.”
Others also see a mixed picture in 2015. “In NAND, 3D spending is expected to be broader and larger, but it still lags planar spending until 2016. However, our customers are seeing diminishing gains from planar and (the) 3D adoption is inevitable. DRAM supply is expected to remain tight with strong potential for capacity additions,” said Gigi Lai, senior director of strategic marketing at Applied Materials. “Overall, we expect wafer fab equipment spending will be higher (in 2015), driven by the foundry finFET battle, broader investments in 3D NAND, and increasing DRAM spending.”
450mm and EUV
It’s safe to say that 450mm will not be a factor in 2015. In fact, the industry has put 450mm technology on hold for the foreseeable future. For now, 450mm is too expensive and the benefits are marginal.
But in 2015, the industry will keep a close eye on ASML’s ongoing efforts to put extreme ultraviolet (EUV) lithography into production. “If you look at the (recent) eBeam Initiative [] survey, it indicates that there is a little bit of an increase in the skepticism in EUV,” said Aki Fujimura, chairman and chief executive of D2S. “The survey echoes the statements from many in the industry: ‘We still want (EUV) to happen.’ But publicly, everyone is saying: ‘I don’t know if we can count on it. So we better have backup plans in place.’ “
Barring a major breakthrough in EUV, chipmakers will use 193nm immersion and multiple patterning for both 16nm/14nm and 10nm. “It’s not the question whether you can do (multiple patterning). Technically, it is possible. The question is if it’s economically viable. Certainly, the economic viability answer is very different, depending on who you are,” Fujimura said.
Backend blues
For years, meanwhile, the industry has been talking about the development of 2.5D and 3D chips using through-silicon vias (TSVs). So far, though, 2.5D/3D technology is taking longer than expected amid a number of cost and technical challenges. “We have stuff in production today. But again, these are high-performance applications,” said Jan Vardaman, president of TechSearch International. “Besides Xilinx, there are other people that are developing products using an interposer that should come out in 2015.”
So when will 2.5D/3D stacked die hit the mainstream? “These are new architectures,” Vardaman said. “New architectures take a long time to develop and you have to sort them out carefully.”
The ATE industry, meanwhile, is expected to grow by 20% in 2014. That was driven by booming demand for test in the mobile-based application processor space. Not long ago, Pacific Crest Securities projected that the ATE market would grow by 10% in 2015. But the firm recently lowered its forecast and now projects a 2% decline for ATE in 2015.

No comments:

Post a Comment